【www.arisingsemi.com--软件制图】

纳秒等于多少秒
设 计 报 告





目录
1  引言…………………………………………………………………4
1.1课程设计的目的……………………………………………………4
1.2课程设计的内容……………………………………………………4
2  EDA、VHDL简介…………………………………………………5
2.1 EDA技术…………………………………………………………...5
2.2硬件描述语言——VHDL………………………………………….5
2.2.1 VHDL语言的特点……………………………………………….6
2.2.2 VHDL语言的设计流程………………………………………….8
3 设计过程……………………………………………………………..9
3.1设计规划……………………………………………………………9
3.2原理框图…………………………………………………………..10
3.3各模块的功能……………………………………………………..10
3.3.1分频器…………………………………………………………...10
3.3.2计数器…………………………………………………………...11
3.3.3数据锁存器……………………………………………………...11
3.3.4显示译码电路…………………………………………………...11
3.3.5控制电路………………………………………………………...12
3.3.6按键消抖电路…………………………………………………...12
3.3.7顶层文件………………………………………………………...13
4 硬件电路仿真………………………………………………………13
4.1分频器仿真………………………………………………………..13
4.2模6计数器仿真…………………………………………………..14
4.3模10计数器仿真…………………………………………………14
4.4控制电路仿真……………………………………………………..14
5 实验总结……………………………………………………………15
5.1实验结论…………………………………………………………..15
5.2心得总结…………………………………………………………..15
致谢……………………………………………………………………16
参考文献………………………………………………………………16
附录……………………………………………………………………16
1引言
在科技高度发展的今天,集成电路和计算机应用得到了高速发展,尤其是计算机应用的发展。它在人们日常生活已逐渐崭露头角。大多数电子产品多是由计算机电路组成,如:手机、mp3等。而且将来的不久它们的身影将会更频繁地出现在我们身边。电脑各部分在工作时多是以时间为基准的。本文就是基于计算机电路的时钟脉冲信号、状态控制等原理设计出的数字秒表。秒表在很多领域充当了重要的角色。各种比赛中对秒表的精确度要求很高,尤其是一些科学实验,它们对时间精确度达到了几纳秒级别。

1.1课程设计的目的
本次设计的目的就是在掌握EDA实验开发系统的初步使用基础上,了解EDA技术,对计算机系统中时钟控制系统进一步了解,掌握状态机工作原理,同时了解计算机时钟脉冲是怎么产生和工作的。
在掌握所学的计算机组成与结构课程理论知识时,通过对数字秒表的设计,进行理论与实际的结合,提高与计算机有关的设计能力,提高分析、解决计算机技术实际问题的能力。
1.2课程设计的内容
秒表的计时范围是00’00”00到59’59”99。有两个按钮开关start/stop和 split/reset,控制秒表的启动、停止、分段和复位。

秒表已经被复位的情况下,按下 start/stop 键,秒表开始计时。在秒表正常运行的情况下,如果按下 start/stop 则秒表暂停计时;再次按下该键,秒表继续计时。
在秒表正常运行下,如果按下 split/reset,显示停止在按键时的时间,但秒表仍然在计时;再次按下该键,秒表恢复正常显示。在秒表暂停计时的情况下,按下 split/reset,秒表复位归零。

2 EDA、VHDL简介
2.1EDA技术
EDA是指以计算机为工作平台,融合了应用电子技术、计算机技术、智能化技术的最新成果而开发出的电子CAD通用软件包,它根据硬件描述语言VHDL完成的设计文件,自动完成逻辑编译、逻辑映射和编程下载等工作。目前EDA主要辅助进行三个方面的设计工作:IC设计、电子电路设计和PCB设计。没有EDA技术的支持,想要完成超大规模集成电路的设计制造是不可想象的;反过来,生产制造技术的不断进步又必将对EDA技术提出新的要求。
2.2硬件描述语言——VHDL
VHDL(Very-high-speed Integrated Circuit Hardware Description Language)诞生于1982年。1987年底,VHDL 被IEEE (The Institute of Electrical and Electronics Engineers)和美国国防部确认为标准硬件描述语言。自 IEEE 公布了 VHDL 的标准版本(IEEE-1076)之后,各 EDA 公司相继推出了自己的 VHDL 设计环境,并宣布自己的设计工具可以和 VHDL 接口。
此后 VHDL 在电子设计领域得到了广泛的接受,并逐步取代了原有的非标准硬件描述语言。1993 年,IEEE 对 VHDL进行了修订,从更高的抽象层次和系统描述能力上扩展 VHDL 的内容,公布了新版本的 VHDL,即 IEEE 标准的 1076-1993 版本。现在,VHDL 和 VERILOG作为 IEEE 的工业标准硬件描述语言,又得到众多 EDA 公司的支持,在电子工程领域,已成为事实上的通用硬件描述语言。有专家认为,在新的世纪中,VHDL和 VERILOG 语言将承担起几乎全部的数字系统设计任务。
2.2.1VHDL语言的特点
VHDL 主要用于描述数字系统的结构、行为、功能和接口。除了含有许多具有硬件特征的语句外,VHDL 的语言形式,描述风格以及句法十分类似于一般的计算机高级语言。
VHDL 的程序结构特点是将一项工程设计,或称为设计实体(可以是一个元件、一个电路模块或一个系统)分成外部(又称为可视部分,即端口)和内部(又称为不可视部分),即设计实体的内部功能和算法完成部分。在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其它的设计就可以直接调用这个实体。
这种将设计实体分成内外部分的概念是 VHDL系统设计的基本点。应用 VHDL 进行工程设计的优点是多方面的,具体如下:


1、与其它的硬件描述语言相比,VHDL 具有更强的行为描述能力,从而决定了它成为系统设计领域最佳的硬件描述语言。强大的行为描述能力是避开具体的器件结构,从逻辑行为上描述和设计大规模电子系统的重要保证。就目前流行的 EDA 工具和 VHDL 综合器而言,将基于抽象的行为描述风格的 VHDL程序综合成为具体的 FPGA 和 CPLD 等目标器件的网表文件已不成问题,只是在综合与优化效率上略有差异。


2、VHDL 最初是作为一种仿真标准格式出现的,因此 VHDL 既是一种硬件电路描述和设计语言,也是一种标准的网表格式,还是一种仿真语言。
其丰富的仿真语句和库函数,使得在任何大系统的设计早期(即尚未完成),就能用于查验设计系统的功能可行性,随时可对设计进行仿真模拟。
即在远离门级的高层次上进行模拟,使设计者对整个工程设计的结构和功能的可行性做出决策。


3、VHDL 语句的行为描述能力和程序结构决定了它具有支持大规模设计的分解和已有设计的再利用功能,符合市场所需求的,大规模系统高效、高速的完成必须由多人甚至多个开发组共同并行工作才能实现的特点。VHDL 中设计实体的概念、程序包的概念、设计库的概念为设计的分解和并行工作提供了有力的支持。



4、对于用 VHDL 完成的一个确定的设计,可以利用 EDA 工具进行逻辑综合和优化,并自动地把 VHDL 描述设计转变成为门级网表。这种方式突破了门级电路设计的瓶颈,极大地减少了电路设计的时间和可能发生的错误,降低了开发成本。应用 EDA 工具的逻辑优化功能,可以自动地把一个综合后的设计变成一个更高效、更高速的电路系统。反过来,设计者还可以容易地从综合和优化后的电路获得设计信息,返回去更新修改 VHDL 设计描述,使之更为完善。


5、VHDL 对设计的描述具有相对独立性,设计者可以不懂硬件的结构,也不必管最终设计实现的目标器件是什么,而进行独立的设计。
正因为 VHDL 硬件描述与具体的工艺技术和硬件结构无关,VHDL 设计程序的硬件实现目标器件有广阔的选择范围,其中包括各系列的 CPLD、FPGA 及各种门阵列实现目标。



6、由于 VHDL 具有类属描述语句和子程序调用等功能,对于已完成的设计,在不改变源程序的条件下,只需要改变端口类属参量或函数,就能轻易地改变设计的规模和结构。
2.2.2VHDL语言的设计流程
1.文本编辑
用任何文本编辑器都可以进行,也可以用专用的VHDL编辑环境。通常VHDL文件保存为.vhd文件。

2.功能仿真
将文件调入VHDL仿真软件进行功能仿真,检查逻辑功能是否正确(也叫前仿真,对简单的设计可以跳过这一步,只在布线完成后,进行时序仿真)
3.逻辑综合
将源文件调入逻辑综合软件进行综合,即把语言综合成最简单的布尔表达式。逻辑综合软件会生成.edf或.edif的EDA工业标准文件。
4.布局布线
将.edf文件调入PLD厂家提供的软件中进行布线,即把设计好的逻辑安放PLD/FPGA内。
5.时序仿真
需要利用在布局布线中获得的精确参数,用仿真软件验证电路的时序(也叫后仿真)。通常以上过程都在PLD/FPGA厂家提供的开发工具内。
6.器件编程
3设计过程
3.1设计规划
本系统设计采用自顶向下的设计方案,系统的整体组装设计由以下六部分组成:
·分频器:对晶体振荡器产生的时钟信号进行分频,产生时间基准信号。

·计数器:对时间基准脉冲进行技术,完成计时功能。

·数据锁存器:锁存数据,使显示保持锁定。

·控制器:控制计数器的运行、暂停以及复位;产生锁存器的是能信号。
·扫描显示的控制电路:包括扫描计数器、3-8译码器和7段译码器;控制8个数码管以扫描方式显示计时结果。
·按键消抖电路:消除按键输入信号抖动的影响,输出单脉冲。
3.2原理框图

3.3各模块的功能
3.3.1分频器
需要两种分频器,分频器一是将晶体振荡器输入的48MHz的时钟信号,利用模48000的计数器分频,输出为1kHz的时钟信号。
(因为多位LED显示,一般采取动态扫描方式、分时循环显示,即多个发光管轮流交替点亮。它是利用人眼的滞留现象,只要在1秒内一个发光管亮24次以上,每次点亮时间维持在2ms以上,则人眼感觉不到闪烁);分频器二是输入为1kHz的信号,分频成100Hz的信号,即为百分之一秒,作为计数器输入。。

本文来源:http://www.arisingsemi.com/it/89194/